Разработка двоичного сумматора по модулю 13 - курсовая работа (Теория) по информатике и телекоммуникациям

 

Тезисы:

  • Изменчивость базиса требует разработки всё новых алгебраических методов.
  • Рис.3. Схема сумматора.
  • Десятичный сумматор с кодом 7-4-2-1. Этот код позиционный.
  • Рис.2. Схема сумматора. Вариант 1.
  • Эта схема состоит из 4-х сумматоров,2-х элементов "исключающее или" и 4-х блоков.
  • Синтез сумматора при помощи пакета Decomposer.
  • Описание сумматора на языке VHDL.
  • Для данного сумматора получена следующая логическая последовательность.
  • Сокращение времени цикла разработки и производства устройства.
  • Особо значимыми становятся процедуры отладки и верификации проектных решений.

 

 

Похожие работы:

Предметы

Все предметы »

 

 

Актуальные курсовые работы (теория) по информатике и телекоммуникациям