Разработка структуры процессора на основе МПА с жесткой логикой - курсовая работа (Теория) по программному обеспечению, программированию

 

Тезисы:

  • Структурная схема управляющего автомата на основе жесткой логики показана на рис.
  • Структурная схема управляющего автомата на основе жесткой логики.
  • Анализ задания и разработка структурной (функциональной) схемы процессора.
  • Синтез управляющего автомата на основе жесткой логики.
  • Устройство управления данного процессора необходимо построить на жёсткой логике.
  • Данный процессор с одномагистральной структурой выполняет указанный в задании набор команд.
  • 1 показана структурная схема процессора с одной магистралью.
  • Структурная схема процессора.
  • Общее описание принципа работы процессора.
  • Создание описания отдельных узлов процессора и всего процессора средствами Active HDL.

 

 

Похожие работы:

Предметы

Все предметы »

 

 

Актуальные курсовые работы (теория) по программному обеспечению, программированию