Розроблення VHDL-опису та синтез елементів пристроїв для зашифрування інформації - курсовая работа (Теория) по программному обеспечению, программированию

 

Тезисы:

  • За розробленою програмою синтезувати заданий пристрій.
  • Розроблення поведінкового опису пристрою.
  • 1 Розроблення структурного опису пристрою.
  • 1 Опис алгоритму шифрування.
  • Таблиця 3. Варіанти елементів шифру DES.
  • Øі = 11 - якщо остання цифра НЗК одиниця.
  • Процес шифрування полягає в початковій перестановці, 16 циклах шифрування і кінцевій перестановці.
  • Ліва половина Li рівна правій половині попереднього вектора Li?1Ri?1.
  • 2 Схема шифрування алгоритм DES.
  • 3 Початкова перестановка.

 

 

Похожие работы:

Предметы

Все предметы »

 

 

Актуальные курсовые работы (теория) по программному обеспечению, программированию