Цифровой измеритель разности двух напряжений - курсовая работа (Теория) по информатике и телекоммуникациям

 

Тезисы:

  • Структурная схема цифрового измерителя разности двух напряжений приведена на рисунке 2.1.
  • Рисунок 2.1 - Структурная схема цифрового измерителя разности двух напряжений.
  • На выходе счетчика сформирован цифровой код, эквивалентный напряжению разности.
  • Прежде всего, необходим узел, выполняющий функцию вычитания напряжений.
  • Компаратор имеет два входа типа integer и один цифровой выход типа std_logic.
  • Т.е. замены сигнала серией импульсов за некоторое определенное время.
  • Определение набора модулей, входящих в состав схемы.
  • Схема работает следующим образом.
  • Разработка интерфейса дифференциального усилителя.
  • Разработка интерфейса ГЛИН.

 

 

Похожие работы:

Предметы

Все предметы »

 

 

Актуальные курсовые работы (теория) по информатике и телекоммуникациям