Разработка и функционально-временное моделирование средствами САПР QUARTUS II блока регистров общего назначения и его схемотехнического окружения - практическое задание по информатике и телекоммуникациям

 

Тезисы:

  • Реализация блока регистров общего назначения и.
  • Øn - число разрядов регистра общего назначения из состава РЗУ.
  • ØМ - количество n-разрядных регистровых ячеек общего назначения (РОН) , составляющих РЗУ.
  • Результаты разработки проекта РЗУ на VHDL оформить в виде библиотечного компонента (пакета) .
  • Øn1 - количество сдвигателей в схеме на входах или выходах блока РОН.
  • РОН 6 регистров ieee; ieee.std_logic_1164.all; ieee.std_logic_arith.all; ieee.std_logic_signed.all.
  • Получившийся параметрический блок РОН 32 на 8.
  • Таганрог, 2014 г.
  • ØOEZ - наличие буферов с Z-состоянием по выходу.
  • Студент гр. А-41, Фетисов А.В.

 

 

Похожие работы:

Предметы

Все предметы »

 

 

Актуальные практические задания по информатике и телекоммуникациям