Тестирование и верификация HDL-моделей компонентов SOC - реферат по программному обеспечению, программированию

 

Тезисы:

  • Его основное назначение - проверка исправности HDL-модели.
  • Здесь следует рассмотреть для варианта (сценария) обсчета программной модели.
  • Такой вершиной может быть компонент , если транзакционный граф представлен одиночными дугами.
  • Графики М-тестопригодности Xilinx модели.
  • Автоматная модель HDL-программы.
  • Верификация DCT IP-core, Xilinx.
  • Транзакционный граф Xilinx модели.
  • Если модифицировать формулу (1) исчисления тестопригодности для компонентов к следующему виду.
  • Примером содержательного графа может служить рис.
  • 2, имеющий 6 вершин и 9 дуг.

 

 

Похожие работы:

Предметы

Все предметы »

 

 

Актуальные рефераты по программному обеспечению, программированию